?

多通道數據記錄裝置設計及實現

2013-07-05 15:26單彥虎衛一然甄國涌
電源技術 2013年11期
關鍵詞:電路計算機裝置

單彥虎,衛一然, 甄國涌

(中北大學儀器科學與動態測試教育部重點實驗室電子測試技術重點實驗室,山西 太原 030051)

多通道數據記錄裝置設計及實現

單彥虎,衛一然, 甄國涌

(中北大學儀器科學與動態測試教育部重點實驗室電子測試技術重點實驗室,山西 太原 030051)

介紹了一種基于FPG A的多路采集存儲電路設計。該裝置以FPG A為主控芯片,控制模擬開關對導彈引信在高速動態交會試驗中發射飛行過程中引信的多普勒信號、點火信號以及引信保障執行機構等的工作狀況進行彈載實時采集,并通過THS1040模數轉換器,將模擬信號轉換成數字信號存入F LASH存儲器。發射完成后,上位機通過USB接口將數據上傳至計算機進行分解、繪圖。經多次驗證,證明該裝置可以準確地對信號進行采集、存儲,達到了設計目標。

FPG A;多通道;信號采集;模數轉換

當代數字信號處理技術飛速發展,數據采集存儲作為信號處理過程的一項關鍵技術,已經廣泛應用于雷達、聲納、瞬態信號測試、無線探傷等領域。數據采集速率和精度依據環境條件的不同而有所差異。一般情況下,如果采集速率較低則數據采集和上傳至計算機進行處理可以同時進行[1]。但在采集源移動的情況下,就需要設備同時具有采集和存儲功能,以便事后對數據進行處理分析。根據實際需要,研發了一種蓄電池供電工作、體積小、功耗低、存儲量大的記錄裝置。

該記錄裝置采用FPGA構建多路數據采集存儲系統核心控制電路,用來記錄導彈引信在高速動態交會試驗中發射飛行過程中引信的多普勒信號、點火信號以及引信保障執行機構等的工作狀況。發射完成后可以回收再現飛行數據,為及時查找可能發生故障的原因,提供有效的測試手段,為產品設計與問題故障分析提供依據。記錄裝置共采集18路信號,其中6路采樣率為300 kS/s,12路采樣率為25 kS/s。

1 裝置結構及工作原理

該采集存儲裝置主要包括信號輸入接口電路、采集電路、中心邏輯控制電路、存儲接口電路及USB讀數接口電路。

6路高速待采信號和12路低速待采信號經過信號輸入接口進入記錄裝置后先經過運放的分壓處理,由大幅值信號統一調理為0~2 V的信號,然后經過一個模擬開關,這時高速模擬開關將自動切換到需要采樣的通道,經過運放跟隨后送往AD轉換器進行轉換,將轉換后的數據送往中心邏輯單元進行數據中轉,包括對數據進行編幀等,數據整理完成后送往FLASH進行數據存儲,試驗完成后通過USB將數據導出。其整體設計框圖如圖1所示。

圖1 整體設計框圖

2 采集模塊設計

18路模擬信號經過運算放大器調理后,進入模擬開關ADG732,FPGA控制ADG732按照一定的順序進行地址線切換,將18路信號有序的輸入模數轉換器THS1040進行采樣,采樣長度為10位,前兩位為有效數據標志位[2]。之后數據就進入FPGA進行編幀、打包。采集過程框圖如圖2所示。

圖2 采集過程框圖

2.1 接口電路設計

為了保障進入模擬開關前信號的完整性和準確性,設計中采用具有rail to rail特性的運算放大器OPA4340進行信號調理,在提高了信號輸入阻抗的同時也減小了調理后信號的輸出阻抗。信號經接口電路的調整由大幅值信號統一的變為0~2 V的低壓信號,方便后續電路的采集。圖3為-10~+10 V、-30~+30 V信號接口電路,圖4為0~+40 V、0~+10 V信號的接口電路。

圖3 -10~+10 V和-30~+30 V接口電路

圖4 0~+40 V和0~+10 V接口電路

2.2 多路模擬開關設計

裝置設計選用模擬開關ADG732對18路信號進行一定的順序切換。該開關工作電壓范圍寬(1.8~5.5 V),導通電阻小,具有軌對軌特性,開關切換時間短(30 ns),封裝小[2]。

由于18路待采信號的頻率有所不同,其中6路高速通道,每路采樣率300 kS/s;12路低速通道,每路采樣率25 kS/s。為了滿足不同信號采樣率的要求,同時盡可能減少總的數據量,設計采用如下的幀格式。

數據的編幀采取主副幀結構,既主幀中最后一個字節為副幀中的數據,當主幀每運行完一次,主幀中的副幀數據進行更新,繼續重復運行主幀數據,當副幀中的數據全部被更新完后,結束全部一整幀數據,加入幀計數和幀標記。如表1和2分別為數據主幀結構和副幀結構。

表1 數據主幀結構

表2 數據匐幀結構

主幀中信號的采樣率為2.068 965 5MS/s,按數據編號1到7順序采集,每一路采樣率為:2.068 965 5÷7≈0.295 566 5 MS/s=295.566 5 kS/s,即高頻信號的采樣率為295.566 5 kS/s。由于每次采集完所有高頻信號后采集一次低頻信號,所以12路低頻信號中的每一路采樣率為:295.566 5 kS/s÷12≈24.63 kS/s,即低頻信號的采樣率為24.63 kS/s。

2.3 A/D轉換電路設計

設計使用THS1040對信號進行采集。THS1040是一個低功耗(單一3 V電源供電)、10位、40MSPS模數轉換器,同時支持單端和差分模擬輸入。THS1041提供了多種選擇的參考電壓,以匹配用戶的設計要求。為了使設計更加靈活,內部參考使用外部基準,以滿足直流精度和溫度漂移要求的應用程序。整體電路如圖5所示。

圖5 A D轉換電路

通過模擬開關切換的當前待采信號經過運放AD8051跟隨后,通過AIN引腳進入THS1040轉換為10位數字信號,然后傳入FPGA進行緩存和編幀。VREF引腳參考電壓為1 V,經運放OPA4340跟隨,可以增加其輸入阻抗、減小輸出阻抗、提高帶負載能力。REFT和REFB電壓通過內部參考發生器控制在2 Vp-p的ADC輸入范圍。

3 存儲模塊設計

設計采用FLASH進行數據存儲,它具有體積小、功耗低、存儲量大等特點。FLASH是按頁進行存儲的,寫完一頁后要進行下一頁控制字和地址的重新寫入。在相鄰頁交替的時間內要保證數據完整不丟失,所以采集到的數據不能直接存入FLASH,而是利用FPGA內部的雙口RAM作為緩存[3]。采集到的數據先寫入雙口RAM,然后再寫入FLASH。操作過程中保證雙口RAM的數據輸入速度小于輸出速度。

4 USB讀數接口設計

USB模塊是連接計算機與記錄裝置的主要設備,它主要負責將記錄裝置存儲的數據上傳到計算機和將計算機的命令下發給記錄裝置實現擦除等功能命令[4]。USB模塊設計時選用USB2.0協議芯片Cy7c68013,此芯片經過了大量的應用實踐,設計較為可靠。圖6為USB接口模塊工作原理圖。

圖6 USB接口模塊工作原理圖

5 調試及結果

為了驗證裝置的采集功能,利用信號源輸出正弦波來提供18路模擬信號作為待采信號。上位機軟件通過USB接口下發控制命令,將數據上傳至計算機進行后期的處理分析。

測試過程為:上電后首先對設備進行擦除操作,出現擦除完成指示后啟動線上加+27 V的階躍信號,啟動記錄裝置進行記錄。此時,記錄指示燈綠燈亮。記錄60 s后,記錄指示燈滅表示記錄結束。上位機軟件通過USB接口將數據讀入計算機進行繪圖分析。從圖7可以看出采集上來的數據準確無誤。

6 結論

圖7 回讀數據

該裝置以FPGA為核心控制器件,控制模擬開關和模數轉換器對導彈引信在高速動態交會試驗中發射飛行過程中引信的多普勒信號、點火信號以及引信保障執行機構等的工作狀況共18路模擬信號進行采集。之后上位機通過USB接口將數據上傳至計算機進行后期處理。經測試該裝置可以滿足要求正常工作。

[1] 周治良,劉俊,張斌珍.基于FPGA及FLASH的數據采集存儲系統設計[J].微計算機信息,2007,23(7):91.

[2] 李鵬,馬游春,李錦明.基于FPGA的多路數據采集模塊硬件設計[J].儀表技術與傳感器,2010,3(3):80.

[3] 林長青,孫勝利.基于FPGA的多路高速數據采集系統[J].電測與儀表,2005,42(5):52.

[4] 安榮,任勇峰,李圣昆.基于FPGA和USB2.0的數據采集系統[J].儀表技術與傳感器,2009,3(3):50-52.

Design and implementation of multi-channeldata recording apparatus

SHAN Yan-hu,WEIYi-ran,ZHEN Guo-yong (M inistry of Education Key Laboratory for InstrumentScience and Dynam ic Test,National Key Laboratory forElectronic Measurement Technology,North University of China,Taiyuan Shanxi030051,China)

The design of an FPGA-based multi-channel acquisition circuit was introduced.The device master chip FPGA control analog switches in high-speed dynamic intersection test launch missile fuze flight fuse Doppler signal, ignition signals and fuse protection executing agency of the state of real-time acquisition of missile-borne and THS1040 ADC converts the analog signal into a digital signal stored in the FLASH memory.The launch is complete, the host computer via the USB interface to upload data to the computer to decompose,drawing.It has been proved that the device can collect and store signal accurately and achieve the design by the repeated experiments.

FPGA;multi-channel;signal acquisition;analog-to-digital conversion

TM 93

A

1002-087 X(2013)11-2032-03

2013-04-26

國家自然科學基金(50905169)

單彥虎(1985—),男,江蘇省人,博士生,主要研究方向為動態測試、微系統及集成技術、信號處理。

猜你喜歡
電路計算機裝置
電路的保護
計算機操作系統
基于計算機自然語言處理的機器翻譯技術應用與簡介
計算機多媒體技術應用初探
解讀電路
巧用立創EDA軟件和Altium Designer軟件設計電路
SBS裝置VOCs排放控制探討
基于MATLAB模擬混沌電路
信息系統審計中計算機審計的應用
轟趴裝置指南
91香蕉高清国产线观看免费-97夜夜澡人人爽人人喊a-99久久久无码国产精品9-国产亚洲日韩欧美综合