?

“數字電路與邏輯設計”課程教學改革與實踐

2017-09-08 01:41袁占生鄭文杰秦德興
電氣電子教學學報 2017年3期
關鍵詞:數字電路實物電路

袁占生, 鄭文杰, 秦德興

(集美大學 信息工程學院, 福建 廈門 361021)

“數字電路與邏輯設計”課程教學改革與實踐

袁占生, 鄭文杰, 秦德興

(集美大學 信息工程學院, 福建 廈門 361021)

本文介紹了“數字電路與邏輯設計”課程教學改革方法—通過在課堂教學中引入虛擬電子平臺NI Multisim12.0。以此實現直觀的實踐仿真,增強學生對理論理解,既提高學生學習的積極性,又激發了學生對實踐環節的興趣,也提高了教師的教學水平。

教學改革;虛擬電子實驗室;3D視圖;仿真

0 引言

“數字電路與邏輯設計”課程是我校電類專業的重要基礎課程,也是我院一門重要的院級平臺課。隨著電子信息技術飛速發展,只注重課本、注重理論知識的傳統教學模式已不適應現代化教學了。工科電子類專業特點是實踐性,強調理論聯系實際,注重培養實踐能力。所以,必須對教學方法、教學方式進行改革。以下是多年來我們在該課程教學實踐中獲得的一點經驗體會。

1 傳統式課程教學法弊端

首先是教材,就我校而言,該課程教材的內容系統性強,理論偏高偏深,但理論聯系實際不夠,實踐性較弱。其次是教學方法,多為理論灌輸式,很少在課堂教學中涉及實踐環節。針對這些弊端,必須強調理論聯系實際,培養實踐能力,讓學生自己動手,以自己的思維、想法來設計和實踐。這促使我們在教材和教法上必須進行一定的改革。

2 改進措施

首先,教材的使用和選擇,既要適應數字技術的高速發展,又要保留數字邏輯的經典內容。經過學院領導和有關專家、教師們多次研究和論證,現已選出合適的教材作為學院平臺課統一教材。其次,在實踐方面,根據學校教務處和學院的要求,在實驗課程中加大設計性、綜合性實驗所占的比例,使學生在完成設計性和綜合性實驗的過程中大大加強了實踐動手能力。最后,教學方法的改革尤為重要。隨著教育教學技術手段發展,教師都在積極探索教學方法和教學手段的改革。比如計算機輔助、制作CAI課件等,實現課程教學方式和方法的現代化。我們在該課程實際授課時,引入電子電路仿真軟件NI Multisim12.0和Ultiboard12.0軟件作為虛擬平臺,來加強對每一個實際電路的仿真分析。

3 改進實例

3.1 軟件及其應用

電子電路仿真軟件NI Multisim12.0是業界公認的一流的SPICE仿真標準環境,它是NI電路教學解決方案的重要基礎。數字邏輯電路中很多模塊, 都可以通過引入NI Multisim12.0為基礎的電子電路虛擬平臺,開展課堂實驗,達到理論聯系實際的效果。

3.2 應用電路仿真分析

“數字電路與邏輯設計”課程教學中,數字邏輯電路的設計和數?;旌想娐返姆治雠c設計是最難學的。任何數字電路和模塊工作時必須要有相應的時鐘脈沖信號(CLK信號),仿真軟件可以用虛擬的時鐘脈沖源來提供,而在實際設計的硬件電路中,該信號必須由實物產生。下面以脈沖振蕩電路設計為例,來說明實物設計和引入NI Multisim12.0仿真設計后對于數字邏輯電路教學的重要性和實用性。

1)電路原理圖

圖1就是由數字邏輯模塊555定時器加外圍電路構成的脈沖信號產生電路。

圖1 脈沖信號發生器原理電路

應用學過的理論計算公式,產生的脈沖信號其周期為

T=(R1+2R2+RP+RP′)C1

(1)

給定參數計算,振蕩周期為100 ms。

圖2是示波器XSC1的振蕩波形。從波形圖可以看出,由兩個指針T1、T2中間正好是一個完整的振蕩周期,可以讀出是100 ms,與理論計算相符。

圖2 脈沖信號發生器

2)實物電路圖

所謂實物圖,就是用各種實際電路元件、模塊連接起來的電路圖,是電路原理圖的實物連接形式。圖3是脈沖信號發生器實物電路圖,圖4是測得的波形圖。與圖1圖2比較,可以看出由原理圖計算和實物電路實測得到的都是周期為T=100 ms的脈沖振蕩波形。

圖3 實物電路圖

圖4 實物電路實測波形圖

但是由圖4實物電路實測結果可以看到,周期近似為100 ms,精確的說是103.015 ms,這就是實際與理論的差別。這樣的誤差基本上對于后續工作沒有太大影響,數字邏輯設計上可以忽略。

3)電路3D視圖

所謂3D視圖,就是在仿真軟件提供的面包板上按照安排好的布局進行的電路連接,可以得到和實驗室里用面包板實物搭建的電路同樣的效果。通過電路 3D視圖,學生在課堂上就可以理解怎樣用面包板搭建、測試、分析數字邏輯電路與系統,并根據測試結果來設計、改善電路,使整個數字電路和系統更趨于穩定、合理化。接下來可以利用Ultiboard12.0進行電路的PCB制板工作。圖5(a)、(b)、(c)分別是軟件實現的面包板實物3D圖,PCB板圖和PCB板元件的3D視圖。

通過圖5,學生可以清楚地知道,如何對一個數字電路或系統進行器件布局,布局需考慮的因素包含線路的走向及其電路板的制造成本等問題。然后通過3D視圖,學生可以很直觀地看到自己親手分析、設計再制板焊接后得到的電路,這使學生自己覺得很有成就感,同時也會大大調動、提高學生學習和動手實踐的積極性。

(a)實物電路 (b)板圖 (c)3D板圖圖4 實物電路實測波形圖

4 改革后的思考

由本文的介紹可以看到,在“數字電路與邏輯

設計”課程教學上進行改革的必要性和重要性。尤其是加入Multisim系列仿真軟件后,學生很容易把理論和實際應用結合起來,使原本枯燥乏味的文字教學變得圖文并茂、直觀易懂。實物的仿真與設計使學生加深了對于基本理論的理解和掌握,而理論的理解也促進了學生對于電路器件實際運用能力,這對于學生學習可以達到舉一反三的目的。當然,教學方式和方法的改革是永無止境的,隨著時代的進步,更先進、更合理的教學方式、方法需要教師同行進行更深的探討和學習。

5 結語

本文所介紹的教學方式、方法改革已經在我院2010級-2015級六個年級“數字電路與邏輯設計”平臺課上進行有效地應用,學生和教師反映效果都很好。另外據同行實驗教師反映,該教學改革對于該課程實驗教學也起到了非常好的促進作用。

[1] 徐惠民 安德寧. 數字邏輯設計與VHDL描述 [M] 北京:機械工業出版社,2013

[2] 張恒. Multisim12在模擬電子技術項目式教學中的應用 [J] 北京:輕工科技,2012.10:135-136

[3] 熊熙烈 涂 虬. 基于Multisim12 的多諧振蕩器仿真研究 [J] 上饒:上饒師范學院學報,2013.12:26-30

[4] 張藤予. 基于 Multisim 仿真教學模式分析及應用 [J] 北京:計算機仿真,2014.6:230-232

[5] 王冠華 盧慶齡. Multisim12電路設計及應用 [M] 北京:國防工業出版社 2014

[6] 陳嵐. Multisim在數字邏輯課程設計中的應用 [J] 上海:上海應用技術學院學報,2008.8(1):29-33

[7] 聶典等. Multisim 12仿真設計 [M] 北京:電子工業出版社 2014

The Teaching Reform and Practice of Digital Circuit and Logic Design Course

YUAN Zhan-sheng, ZHENG Wen-jie,QIN De-xing

(SchoolofInformationEngineering,JimeiUniversity,Xiamen361021,China)

This paper introduces the teaching method of practicing reform for the Digital Circuit and Logic Design course by using virtual electronic platform NI Multisim12.0. By introducing the virtual platform in the teaching, it helps to achieve the practicing simulation and to enhance students′ understanding of the theory. It would not only improve the students′ enthusiasm of learning and stimulate students′ interests in practice ,but also improve the teachers′ level of instruction.

teaching reform; virtual electronic lab; 3D view; simulation

2016-06-25;

2017-02- 03

袁占生(1972-),男,碩士,講師,主要從事數字邏輯理論與微電子學理論教學與科研,E-mail:yuanzhansheng@126.com 鄭文杰(1982-),男,碩士,實驗師,主要從事數字邏輯設計及EDA實驗實踐教學。

TP331

A

1008-0686(2017)03-0095-03

猜你喜歡
數字電路實物電路
帶你學習實物電路圖的連接
電路的保護
基于數字電路的定時器的設計
解讀電路
巧用立創EDA軟件和Altium Designer軟件設計電路
當手繪遇上實物
基于MATLAB模擬混沌電路
案例教學在數字電路教學改革中的應用研究
基于Arduino控制的半實物模擬駕駛系統
基于數字電路的密碼鎖設計與實現
91香蕉高清国产线观看免费-97夜夜澡人人爽人人喊a-99久久久无码国产精品9-国产亚洲日韩欧美综合